VHDL 2008: Just the New Stuff

VHDL 2008: Just the New Stuff

Peter J. Ashenden, Jim Lewis
この本はいかがでしたか?
ファイルの質はいかがですか?
質を評価するには、本をダウンロードしてください。
ダウンロードしたファイルの質はいかがでしたか?
VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed. * First in the market describing the new features of VHDL 2008;* Just the new features, so existing users and implementers can focus on what's new; * Helps readers to learn the new features soon, rather than waiting for new editions of complete VHDL reference books. * Authoritative, written by experts in the area; * Tutorial style, making it more accessible than the VHDL Standard Language Reference Manual.
カテゴリー:
年:
2007
言語:
english
ページ:
256
ISBN 10:
0123742498
ISBN 13:
9780123742490
ファイル:
PDF, 913 KB
IPFS:
CID , CID Blake2b
english, 2007
オンラインで読む
への変換進行中。
への変換が失敗しました。

主要なフレーズ